GHDL (Q12581091)

From Wikidata
Jump to navigation Jump to search
VHDL simulation software
edit
Language Label Description Also known as
English
GHDL
VHDL simulation software

    Statements

    0 references
    GHDL logo.png
    16 × 16; 192 bytes
    0 references
    GHDL screenshot.png
    507 × 344; 10 KB
    0 references
    0 references
    0 references
    0 references
    0 references
    0.35
    14 December 2017
    1 reference
    0.34
    15 August 2017
    1 reference
    0.33
    18 November 2015
    1 reference
    0.36
    3 March 2019
    1 reference
    0.37
    28 February 2020
    1 reference
    29 February 2020
    Release 0.37 (English)
    28 February 2020
    1.0.0
    2 February 2021
    1 reference
    8 February 2021
    Release 1.0.0 (English)
    2 February 2021
    3.0.0
    8 March 2023
    1 reference
    1 reference
    1 reference

    Identifiers

    0 references
    0 references
    0 references
    0 references
    0 references
    0 references
    0 references
    0 references
    0 references
    0 references
     
    edit
    edit
      edit
        edit
          edit
            edit
              edit
                edit
                  edit